removed extrude
authordanix <danix@danix.xyz>
Thu, 13 May 2021 16:37:35 +0000 (18:37 +0200)
committerdanix <danix@danix.xyz>
Thu, 13 May 2021 16:37:35 +0000 (18:37 +0200)
commit6cb5b38da4792b73021fdcfbd19fbbe658551a21
treeb37d63f77487239061bc3053e082298eceb7eb1c
parent40c733f1f770020aba3aadcec96227f1804c70e2
removed extrude
modificato:             lamp.slvs
lamp.slvs